1/2

Pmod SSD Seven-segment Display 型番:410-126

¥1,634 税込

SOLD OUT

別途送料がかかります。送料を確認する

PmodSSDは2桁の7セグメントディスプレイです。桁は同時に点灯され、50 Hz以上の速度でGPIO信号を切り替えることができます。

Features:
・Two-digit high brightness seven-segment display
・Easily view a counter or timer
・Common Cathode configuration
・Small PCB size for flexible designs 1.0“ × 1.7” (2.5 cm × 4.3 cm)
・Two 6-pin Pmod connectors with GPIO interfaces

製品詳細は以下のResource Centerより入手できます。
https://reference.digilentinc.com/reference/pmod/pmodssd/start

Pmodの詳細は以下をご確認下さい。
https://reference.digilentinc.com/reference/pmod/specification?redirect=1id=pmod:pmod:pmod_standard

本製品はレターパックライトでの発送となります。

商品をアプリでお気に入り
  • レビュー

    (1)

  • 送料・配送方法について

  • お支払い方法について

¥1,634 税込

SOLD OUT

最近チェックした商品
    同じカテゴリの商品
      セール中の商品
        その他の商品